Skip to main content
Infrastructure & Capabilities
Above: Lithographic patterning capabilities are housed within MDL cleanroom areas that are lighted “yellow” to avoid exposing emulsions sensitive to “blue” wavelengths of light. This is only one of eight equipment complement categories requiring continual investment to remain state-of-the-art and relevant to fabricate improved sensors for instrumentation.

Infrastructure & Capabilities

The sophisticated semiconductor processing that takes place in the Microdevices Laboratory (MDL) requires complex integrated building systems and equipment. These closely monitored state-of-the-art capabilities form the foundation of MDL’s technical implementation and innovation.  By necessity, they make use of and are installed in ultraclean environments.

While industrial “fabs” are usually designed for mass production of devices using a single set of standard processes, operations in MDL are much more flexible, allowing research, development, and small scale production of a broad range of devices in numerous wafer sizes, wafer thicknesses, and material families, including Silicon, Galium Arsenide, Galium Antimonide, and superconducting materials.  Furthermore, although MDL makes use of processing equipment developed for the semiconductor manufacturing industry, MDL’s equipment often include customized features to address the specialized development and delivery needs of MDL.

While providing flexibility and the diverse operations, sufficient controls are also in place to allow the processing of flight deliverables and MDL has a long track record of successfully doing this. For more than the quarter of a century of MDL’s operations, numerous infrastructure systems in MDL have not only been maintained, but also have been renewed and upgraded to maintain its cutting-edge capabilities.

Equipment


Equipment within MDL must be maintained, reviewed, and updated on a continual basis in order to enable researchers to fabricate improved sensors for instrumentation. Investments in new capabilities, upgrades and replacements are prioritized and analyzed annually by need and available resources in accordance with a five-year investment plan. The current MDL equipment complement is delineated below in eight (8) categories.

MDL researcher viewing a sample in the new Hitachi Regulus 8230 UHR Cold Field Emission Scanning Electron Microscope (SEM).
MDL researcher viewing a sample in the new Hitachi Regulus 8230 UHR Cold Field Emission Scanning Electron Microscope (SEM).
+ Larger image
The new Laurell Technologies Dilute Dynamic Cleaning System installed to the left of a rinser dryer and RCA acid wet bench for 6-inch Wafers.
+ Larger image
MDL researcher operating the new Oxford PlasmaPro 100 Cobra Cyro Etcher for Black Silicon configured with additional Atomic Layer Etching and Deep Silicon Bosch Etching capabilities.
+ Larger image


  • Thermal Evaporators (5)
  • Electron-Beam Evaporators (7)
  • Angstrom Engineering Indium-Metal Evaporator
  • AJA Load Locked Thermal Co-Evaporator for Broadband IR Bolometer Depositions
  • PlasmaTherm 790 Plasma Enhanced Chemical Vapor Deposition (PECVD) for Dielectrics with Cortex Software Upgrade
  • Oxford Plasmalab System 100 Advanced Inductively Coupled Plasma (ICP) 380 High-Density Plasma Enhanced Chemical Vapor Deposition (HD PECVD) System for Low-Temperature Dielectric Growths
  • Oxford Plasmalab 80 OpAL Atomic Layer Deposition (ALD) System with Radical Enhanced Upgrade
  • Beneq TFS-200 Atomic Layer Deposition (ALD) System
  • Tystar (150-mm/6-inch) Low-Pressure Chemical Vapor Deposition (LPCVD) with 2 Tubes for
    • Low-Stress Silicon Nitride
    • Atmospheric Wet/Dry Oxidation
  • Carbon Nanotube (CNT) Growth Furnace Systems (2)
  • Electroplating Capabilities
  • Molecular-Beam Epitaxy (MBE)
    • Veeco GEN200 (200-mm/8-inch) Si MBE for UV CCD Delta Doping (Silicon)
    • Veeco Epi GEN III MBE (Antimonide Materials)
  • Ultra-High-Vacuum (UHV) Sputtering Systems for Dielectrics and Metals (3)
  • Ultra-High-Vacuum (UHV) Sputtering Systems for Superconducting Materials (3)
  • Electron-Beam (E-beam) Lithography: JEOL JBX9500FS e-beam lithography system with a 3.6-nm spot size, switchable 100,000 & 48,000-volt acceleration voltages, ability to handle wafers up to 9 inches in diameter, and hardware and software modifications to deal with curved substrates having up to 10 mm of sag
  • GCA Mann Wafer Stepper with custom stage allowing different sizes and thicknesses of wafers (0.7-µm res.)
  • Canon FPA3000 i4 i-Line Stepper (0.35-µm res.)
  • Canon FPA3000 EX3 Stepper with EX4 Optics (0.25-µm res.)
  • Canon FPA3000 EX6 DUV Stepper (0.15-µm res.)
  • Contact Aligners:
    • Karl Suss MJB3
    • Karl Suss MJB3 with backside IR
    • Suss MA-6 (UV300) with MO Exposure Optics upgrade
    • Suss BA-6 (UV400) with jigging supporting Suss bonder
  • Wafer Track/Resist/Developer Dispense Systems:
    • Suss Gamma 4-Module Cluster System
    • Site Services Spin Developer System
    • SolarSemi MC204 Microcluster Spin Coating System
  • Yield Engineering System (YES) Reversal Oven
  • Ovens, Hotplates, Furnaces, and Manual Spinners (including 2 Solitec 5110C spinners, and a Suss RC8 Spin Coater)
  • Commonwealth IBE-80 Ion Mill
  • Branson Plasma Ashers (2)
  • Tepla PP300SA Microwave Plasma Asher
  • STS Deep Trench Reactive Ion Etcher (DRIE) with SOI Upgrade
  • PlasmaTherm Versaline Deep Silicon Etcher (DSE/DRIE)
  • Unaxis Shuttleline Load-Locked Fluorine Inductively Coupled Plasma (ICP) RIE
  • PlasmaTherm APEX SLR Fluorine-based ICP RIE with Laser End Point Detector with SW upgrade
  • Plasmaster RME-1200 Fluorine RIE
  • Plasma Tech Fluorine RIE
  • STJ RIE for Superconductors
  • Custom XeF2 Etcher
  • Oxford PlasmaPro 100 Cobra Load-Locked Cryo Etching / Atomic Layer Etching / Bosch Etching System, primarily for Black Silicon.
  • Unaxis Shuttleline Load-Locked Chlorine Inductively Coupled Plasma (ICP) RIE
  • PlasmaTherm Versaline Chlorine-based ICP Etcher
  • RCA Acid Wet Bench for 6-inch Wafers
  • Solvent Wet Processing Benches (7)
  • Rinser/Dryers for Wafers including Semitool 870S Dual Spin Rinser Dryer
  • Chemical Hoods (7)
  • Acid Wet Processing Benches (8)
  • Jelight UVO-Cleaners (2)
  • Novascan UV8 Ultraviolet Light Ozone Cleaner
  • Tousimis 915B Critical Point Dryer
  • Rapid Thermal Processors/Contact Alloyers (2)
  • Polishing and Planarization Stations (5)
  • Strasbaugh 6EC Chemical Mechanical Polisher
  • Precitech Nanonform 250 Ultra Diamond Point Turning System
  • SET North America Ontos 7 Native Oxide (Indium Oxide) Removal Tool with upgrade
  • SurfX Atomflo 500 Argon Atmospheric Plasma Surface Activation System for wafer bonding
  • New Wave Research EzLaze 3 Laser Cutting System
  • Indonus HF VPE-150 Hydrofluoric Acid Vapor Phase Etcher
  • Laurell Technologies Dilute Dynamic Cleaning System (DDS), Model EDC 650 – a Dilute HF/Ozonated DI Water Spin Cleaning System with MKS Instruments Liquizon Ozonated Water Generator.
  • Osiris Fixxo M200 TT Wafer Mounting Tool
  • SET FC-300 Flip Chip Bump Bonder
  • Karl Suss Wafer Bonder
  • Electronic Visions AB1 Wafer Bonder
  • EVG 520Is Semi-Automatic Wafer Bonding System
  • Finetech Fineplacer 96 “Lambda” Bump Bonder
  • Thinning Station and Inspection Systems for CCD Thinning
  • Wire Bonding
  • DISCO 320 and 321 Wafer Dicers (2)
  • Tempress Scriber
  • Pick and Place Blue Tape Dispenser System
  • Loomis LSD-100 Scriber Breaker
  • SCS Labcoater 2 (PDS 2010) Parylene Coating System
  • Profilometers (2) (Dektak 8 and Alphastep 500)
  • Frontier Semiconductor FSM 128-NT (200-mm/8-inch) Film Stress and Wafer Bow Mapping System
  • LEI 1510 Contactless Sheet Resistance Tool
  • FISBA μPhase 2 HR Compact Optical Interferometer
  • Horiba UVSEL 2 (190–2100 nm) Ellipsometer
  • Filmetrics F20-UV (190-1100 nm) Thin Film Spectrometer Measurement System
  • Filmetrics F40-UVX (190-1700 nm) Thin Film Spectrometer Measurement System with Microscope
  • Bruker Dimension 5000 Atomic Force Microscope (AFM)
  • Park Systems Inc. NX20 Atgomic Force Microscope (AFM)
  • KLA-Tencor Surfscan 6220 Wafer Particle Monitor
  • JEOL JSM-6700 Field Emission SEM with EDX
  • Hitachi Regulus 8230 UHR Cold Field Emission SEM with Aztec Energy Dispersive X-ray Microanalysis System and Critical Dimension Measurement capabilities.
  • Nanospec 2000 Optical Profilometer
  • Nikon and Zeiss Inspection Microscopes with Image Capture (3)
  • Keyence VHX-5000 Digital Microscope including low power lens
  • McBain BT-IR Z-Scope IR Microscope Workstation
  • Olympus LEXT 3D Confocal Microscope
  • Mitaka NH-5Ns 3D Profiler
  • Electrical Probe Stations (4) with Parameter Analyzers (2)
  • RPM2035 Photoluminescence Mapping System
  • Fourier Transform Infrared (FTIR) Spectrometers (3) including Bruker Optics Vertex 80 FTIR
  • PANalytical X’Pert Pro MRD with DHS High Temperature Stage X-ray Diffraction System
  • Surface Science SSX501 XPS with Thermal Stage
  • Custom Ballistic Electron Emission Microscopy (BEEM) System
  • Custom UHV Scanning Tunneling Microscope (STM)
  • Nanometrics ECV Pro Profiler
  • VEECO / WYKO NT 9300 Surface Profiler (including 50X optics)
  • Zygo ZeMapper non-contact 3D Profile
  • Thermo Scientific LCQ Fleet CE / MS (Capillary Electrophoresis / Mass Spectrometer) System
Back to top